blob: e74e88dfe4bdc94fba70863ecbfdd6774ea93c08 [file] [log] [blame]
253e790e204f ("net/mlx5: Update vxlan.c new cmd interface")
358aa5ce288a ("net/mlx5e: Vxlan, move vxlan logic to core driver")
a3c785d73cf2 ("net/mlx5e: Vxlan, rename from mlx5e to mlx5")
5006eb221e6c ("net/mlx5e: Vxlan, rename struct mlx5e_vxlan to mlx5_vxlan_port")
dccea6bf384c ("net/mlx5e: Vxlan, move netdev only logic to en_main.c")
0f647bfcd05c ("net/mlx5e: Vxlan, add direct delete function")
278d7f3dc0a6 ("net/mlx5e: Vxlan, cleanup an unused member in vxlan work")
d30d8cde1972 ("net/mlx5e: Vxlan, replace ports radix-tree with hash table")
22a65aa8b1a8 ("net/mlx5e: Vxlan, check maximum number of UDP ports")
a082c4f4f022 ("net/mlx5e: Vxlan, reflect 4789 UDP port default addition to software database")
159d21313423 ("net/mlx5e: Move XDP related code into new XDP files")
3f44899ef2ce ("net/mlx5e: Use PARTIAL_GSO for UDP segmentation")
244069532fa2 ("net/mlx5: FW tracer, Enable tracing")
c71ad41ccb0c ("net/mlx5: FW tracer, events handling")
e9cad2cea7f0 ("net/mlx5: FW tracer, register log buffer memory key")
48967ffdeb21 ("net/mlx5: FW tracer, create trace buffer and copy strings database")
f53aaa31cce7 ("net/mlx5: FW tracer, implement tracer logic")
cbe73aaeecae ("net/mlx5e: Add XDP_TX completions statistics")
bc5a7ccd9a60 ("net/mlx5e: Add UDP GSO remaining counter")
689adf0d4892 ("net/mlx5e: Add UDP GSO support")
f65a59ffbcc2 ("net/mlx5e: TX, Separate cachelines of xmit and completion stats")
8bfaf07f7806 ("net/mlx5e: Present SW stats when state is not opened")
1f0cf89b0930 ("net/mlx5: Add FPGA QP error event")
1865ea9adbfa ("net/mlx5: Add temperature warning event to log")
05909babce53 ("net/mlx5e: Avoid reset netdev stats on configuration changes")
868a01a27d80 ("net/mlx5e: Introducing new statistics rwlock")
043dc78ecf07 ("net/mlx5e: TX, Use actual WQE size for SQ edge fill")
ddf385e31f57 ("net/mlx5e: Use WQ API functions instead of direct fields access")
2c81bfd5ae56 ("net/mlx5e: Move port speed code from en_ethtool.c to en/port.c")
0e5c04f6b52b ("net/mlx5e: Remove MLX5E_TEST_BIT macro")
efb6d7a20c85 ("net/mlx5e: Use bool as return type for mlx5e_xdp_handle")
bd206fd52e03 ("net/mlx5e: Use u8 instead of int for LRO number of segments")
a7b15ab887e5 ("Merge git://git.kernel.org/pub/scm/linux/kernel/git/davem/net")