blob: b97fb13f617c4d036aaed84a1a2dc5f6d09d42c7 [file] [log] [blame]
7c43b0c1d499 ("perf bench: Add benchmark of find_next_bit")
51876bd45263 ("perf bench: Add kallsyms parsing")
2a4b51666af8 ("perf bench: Add event synthesis benchmark")
231457ec7074 ("perf bench: Add epoll_ctl(2) benchmark")
121dd9ea0116 ("perf bench: Add epoll parallel epoll_wait benchmark")