blob: 709b4bd4da8d466b83ab5ec2000c1a3b7e3c11a1 [file] [log] [blame]
54648cf1ec2d ("block: blk_init_allocated_queue() set q->fq as NULL in the fail case")